Any opinions, findings, and conclusions or recommendations expressed in this material are those of the author(s) and do not necessarily reflect the views of the National Science Foundation

Journal Articles

IEEE MICRO Benjamin C. Lee, Ping Zhou, Engin Ipek, Onur Mutlu, Jun Yang, Youtao Zhang, Bo Zhao, Doug Burger "Phase Change Technology and the Future of Main Memory," to appear, IEEE MICRO, Special Issue: Micro's Top Picks from 2009 Computer Architecture Conferences. Vol. 30, No. 1, January/February 2010.
Elsevier JPDC Jun Yang, Lan Gao, Youtao Zhang, Marek Chrobak, Hsien-Hsin S. Lee "A Low-cost Memory Remapping Scheme for Address Bus Protection," to appear, Journal of Parallel and Distributed Computing, Elsevier.
IEEE TPDS Xiuyi Zhou, Jun Yang, Yi Xu, Youtao Zhang, Jianhua Zhao, "Thermal-aware Task Scheduling for 3D Multi-core Processors," IEEE Transactions on Parallel and Distributed Systems, Vol. 21(1), pp. 60-71, 2010.
JNCA Youtao Zhang, Jun Yang, Weijia Li, Linzhang Wang, Lingling Jin, "An Authentication Scheme for Locating Compromised Sensor Nodes in WSNs," Journal of Network and Computer Applications, Vol. 33(1), pages 50-62, 2010.
ACM TACO Weijia Li, Youtao Zhang, Jun Yang, Jiang Zheng, "Towards Update-Conscious Compilation for Energy-Efficient Code Dissemination in WSNs," ACM Transactiosn on Architecture and Code Optimization, Vol. 6(4), 2009.
IEEE TC Dinesh Suresh, Banit Agrawal, Jun Yang, Walid Najjar, "Tunable and Energy Efficient Bus Encoding Techniques," IEEE Transactions on Computers, Vol. 58, No. 8, pp. 1049-1062, 2009.
ACM TECS Dinesh Suresh, Banit Agrawal, Jun Yang, Walid Najjar, "Energy-Efficient Encoding Techniques for Off-Chip Data Buses," ACM Transactions on Embedded Computing Systems, Vol. 8, Iss. 2, Article 9, 2009.
ACM TODAES Wei Wu, Lingling Jin, Jun Yang, Pu Liu, Sheldon X.-D. Tan, "Efficient Power Modeling and Software Thermal Sensing for Runtime Temperature Monitoring," Special Issue on Demonstrable Software Systems and Hardware Platforms, ACM Transactions on Design Automation of Electronic Systems, Vol. 12, Iss. 3, Article 26, 2007.
ACM TACO Yan Luo, Jia Yu, Jun Yang, Laxmi Bhuyan, "Conserving Network Processor Power Consumption by Exploiting Traffic Variability," ACM Transactions on Architecture and Code Optimization, Vol. 4, No. 1, article 4, 26 pages, 2007.
IEEE TCAD Pu Liu, Hang Li, Lingling Jin, Wei Wu, Sheldon Tan, Jun Yang, "Fast Thermal Simulation for Runtime Temperature Tracking and Management," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 25, No. 12, pp. 2882-2894, 2006.
ACM TACO Chuanjun Zhang, Frank Vahid, Jun Yang, and Walid Najjar, "A Way-Halting Cache for Low-Energy High-Performance Systems," ACM Transactions on Architecture and Code Optimization, Vol. 2, Iss. 1, pp. 34-54, 2005.
IEEE TC Jun Yang, Lan Gao, and Youtao Zhang, "Improving Memory Encryption Performance in Secure Processors," IEEE Transactions on Computers, Vol. 54, No. 5, pp. 630-640, 2005.
featured in MIT Technology Review, July 2005.
JSA Jun Yang, Jia Yu, and Youtao Zhang, "A Low Energy Cache Design for Multimedia Applications Exploiting Set Access Locality," Journal of Systems Architecture, Vol. 51, Iss. 10-11, pp. 653-664, Elsevier Publisher.
JEC Youtao Zhang and Jun Yang, "Reducing I-cache Energy of Multimedia Applications through Low Cost Tag Comparison Elimination," Journal of Embedded Computing, IOS, Holland, Vol. 1, Iss. 4, pp. 461-470, July 2005.
IEEE MICRO Yan Luo, Jun Yang, Laxmi Bhuyan, and Li Zhao, "NePSim: A Network Processor Simulator with Power Evaluation Framework," IEEE MICRO, special issue on network processors for future high-end systems and applications, pp. 34-44, September-October, 2004.
ACM TODAES Jun Yang, Rajiv Gupta, and Chuanjun Zhang, "Frequent Value Encoding for Low Power Data Buses," ACM Transactions on Design Automation of Electronic Systems, Vol. 9, No. 3, July 2004.
ACM TECS Jun Yang and Rajiv Gupta, "Frequent Value Locality and its Applications," ACM Transactions on Embedded Computing Systems (inaugural issue), Vol. 1, No. 1, pp. 79-105, November, 2002.
JBE'99 Dalin Tang, Jun Yang, Chun Yang and David N. Ku, "A Nonlinear Axisymmetric Model with Fluid-Wall Interactions for Viscous Flows in Stenotic Elastic Tubes," Journal of Biomechanical Engineering, Vol. 121, pp. 494-501, 1999.
JSC'00 Dalin Tang and Jun Yang, "A Free Moving Boundary Model and Boundary Iteration Method for Unsteady Viscous Flow in Stenotic Elastic Tubes," SIAM Journal on Scientific Computing, Vol. 21, No. 4, pp. 1370-1386, 2000.

Conference and Workshop Articles

IPDPS Ping Zhou, Yu Du, Youtao Zhang, Jun Yang, "Fine-Grained QoS Scheduling for PCM-based Main Memory Systems" to appear, IEEE the 24th International Parallel & Distributed Processing Symposium, Atlanta, GA, April 2010.
DATE Lin Li, Youtao Zhang, Jun Yang, Jianhua Zhao, "Proactive NBTI Mitigation for Busy Functional Units in Out-of-Order Microprocessors " to appear, Design, Automation and Test in Europe, March, 2010.
HPCA Yi Xu, Bo Zhao, Youtao Zhang, Jun Yang, "Simple Virtual Channel Allocation for High Throughput and High Frequency On-chip Routers," to appear, The 16th International Symposium on High-Performance Computer Architecture, January 2010.
MICRO Bo Zhao, Yu Du, Youtao Zhang, Jun Yang, "Variation-Tolerant Non-Uniform 3D Cache Management in Die Stacked Multicore Processor," to appear, The 42nd Annual IEEE/ACM International Symposium on Microarchitecture, December, 2009.
ICCAD Ping Zhou, Bo Zhao, Jun Yang, Youtao Zhang, "Energy Reduction for STT-RAM Using Early Write Termination," IEEE/ACM 2009 International Conference on Computer-Aided Design, pp. 264-268, 2009.
ISCA Ping Zhou, Bo Zhao, Jun Yang, Youtao Zhang, "A Durable and Energy Efficient Main Memory Using Phase Change Memory Technology," The 36th International Symposium on Computer Architecture, pp. 14-23, 2009.
HPCA Yi Xu, Yu Du, Bo Zhao, Xiuyi Zhou, Youtao Zhang, Jun Yang, "A Low-Radix and Low-Diameter 3D Interconnection Network Design," The 15th International Symposium on High-Performance Computer Architecture, pp. 30-41, 2009.
Best Paper Award Nominee
ASP-DAC Ping Zhou, Bo Zhao, Yi Xu, Yu Du, Youtao Zhang, Jun Yang, Li Zhao, "Frequent Value Compression in Packet-based NoC Architecture," 14th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 13-18, 2009.
ICPP Xiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang, Jun Yang, "Thermal Management for 3D Processors via Task Scheduling," The 37th International Conference on Parallel Processing, pp. 115-122, 2008.
ISPASS Jun Yang, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin, "Dynamic Thermal Management through Task Scheduling," International Symposium on Performance Analysis of Systems and Software, pp. 191-201, 2008.
ICCD Wei Wu, Jun Yang, Sheldon Tan, and Shih-Lien Lu, "Improving the Reliability of On-Chip Caches Under Process Variations," International Conference on Computer Design, pp. 325-332, 2007.
Best Paper Award in Process Architecture Track
DAC Jia Yu, Jinnan Yao, Laxmi Bhuyan, Jun Yang, "Program Mapping for Network Processors by Recursive Bipartitioning and Refining" the 44th Design Automation Conference, pp. 805-810, 2007.
PLDI Weijia Li, Youtao Zhang, Jun Yang, Jiang Zheng, "UCC: Update-conscious Compilation for Energy-efficiency in Wireless Sensor Networks," ACM SIGPLAN Conference on Programming Language Design and Implementation, pp. 383-393, 2007.
MASS Weijia Li, Youtao Zhang, Jun Yang, "Dynamic Authentication-Key Reassignment for Reliable Report Delivery," IEEE 3rd International Conference on Mobile Ad-hoc and Sensor Systems, pp. 467-476, 2006.
ICCD Lingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang, Youtao Zhang, "Reduce Register File Leakage through Cell Discharging," International Conference on Computer Design, October 2006.
PACT Lan Gao, Jun Yang, Marek Chrobak, Youtao Zhang, San Nguyen, Hsien-Hsin Lee, "A Low-cost Memory Remapping Scheme for Address Bus Protection," The 15th International Conference on Parallel Architectures and Compilation Techniques, pp. 74-83, 2006.
DAC Wei Wu, Lingling Jin, Jun Yang, Pu Liu, and Sheldon X.-D. Tan, "Efficient method for functional unit power estimation in modern microprocessors," IEEE/ACM Design Automation Conference, pp. 554-557, 2006.
DCOSS Youtao Zhang, Jun Yang, Lingling Jin, Weijia Li, "Locating Compromised Sensor Nodes through Incremental Hashing Authentication," IEEE International Conference on Distributed Computing in Sensor Systems, pp. 321-337, 2006.
IPDPS Youtao Zhang, Jun Yang, Hai Vu, "Interleaved Authentication for Filtering False Reports in Multipath Routing Based Sensor Networks," IEEE International Parallel and Distributed Processing Symposium, April 2006.
HPCA Weidong Shi, Josh Fryman, Hsien-Hsin Lee, Youtao Zhang, Jun Yang, "InfoShield:A Security Architecture for Protecting Information Usage in Memory," the 12th International Symposium on High-Performance Computer Architecture, pp. 225-234, 2006.
ICESS Lingling, Wei Wu, Jun Yang, Chuanjun Zhang, Youtao Zhang, "Dynamic Co-allocation of Resources for Level One Caches," the 2nd International Conference on Embedded Software and Systems, pp. 373-385, LNCS 3820, Springer Verlag, December 2005.
HiPEAC Jia Yu, Jun Yang, Shaojie Chen, Yan Luo, Laxmi Bhuyan, "Enhancing Network Processor Simulation Speed With Statistical Input Sampling," 2005 International Conference on High Performance Embedded Architectures & Compilers, LNCS, Vol. 3793, pp. 68-83, 2005, Springer-Verlag Publishers.
ICCAD Pu Liu, Zhenyu Qi, Hang Li, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang, "Fast Thermal Simulation for Architecture Level Dynamic Thermal Management," International Conference on Computer-Aided Design, pp. 638-643, 2005.
ICCD Hang Li, Pu Liu, Zhenyu Qi, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang, "Efficient Thermal Simulation for Run-Time Temperature Tracking and Management," International Conference on Computer Design (short paper), pp. 130-133, 2005.
ICCD Dinesh Suresh, Banit Agrawal, Walid Najjar, Jun Yang, "VALVE: Variable Length Value Encoding for Off-Chip Data Buses," International Conference on Computer Design, pp. 631-633, 2005.
ISLPED Dinesh Suresh, Banit Agrawal, Walid Najjar, Jun Yang, "Tunable Bus Encoder for Off-Chip Data Buses," International Symposium On Low Power Electronics and Design, pp. 319-322, 2005.
DAC Yan Luo, Jia Yu, Jun Yang, Laxmi Bhuyan, "Low Power Network Processor Design Using Clock Gating," the 42nd Design Automation Conference, pp. 712-715, 2005.
SAC Yongjing Lin, Youtao Zhang, Quanzhong Li, Jun Yang, "Supporting Efficient Query Processing on Compressed XML Files," ACM The 20th Annual Symposium on Applied Computing, pp. 660-665, 2005.
DATE Jia Yu, Wei Wu, Xi Chen, Harry Hsieh, Jun Yang, F. Balarin, "Assertion-Based Automatic Design Exploration of DVS in Network Processor Architectures," Design, Automation and Test in Europe 2005, pp. 92-97, Vol. 1, 2005.
HPCA Youtao Zhang, Lan Gao, Jun Yang, Xiangyu Zhang, Rajiv Gupta, "SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors," the 11th International Symposium on High-Performance Computer Architecture, pp. 352-362, 2005.
HLDVT Jia Yu, Wei Wu, Xi Chen, Harry Hsieh, Jun Yang, F. Balarin, "Assertion-Based Power/Performance Analysis of Network Processor Architectures," IEEE International High Level Design Validation and Test Workshop, Sonoma Valley, CA, November 10-12, 2004.
WASSA Youtao Zhang, Jun Yang, Yongjing Lin, Lan Gao, "Architectural Support for Protecting User Privacy on Trusted Processors," The Workshop on Architectural Support for Security and Anti-Virus, In conjunction with the 11th ASPLOS, Boston, pp. 114-119, MA, October, 2004.
ISLPED'04 Chuanjun Zhang, Frank Vahid, Jun Yang, Walid Najjar, "A Way-Halting Cache for Low-Energy High Performance Systems," IEEE International Symposium on Low Power Electronics and Design, pp. 126-131, Newport Beach, California, August, 2004.
DATE'04 Chuanjun Zhang, Jun Yang, Frank Vahid, "Low Static Power High Performance Frequent Value Data Caches,'' Design, Automation and Test in Europe 2004, pp. 214-219, Paris, France, February 2004.
CAL Chuanjun Zhang, Frank Vahid, Jun Yang, Walid Najjar, "A Way-Halting Cache for Low-Energy High-Performance Systems," Computer Architecture Letters, Vol. 2, Iss. 1, pp. 5-8, 2003.
CASES'03 Dinesh Suresh, Banit Agrawal, Jun Yang, Walid Najjar, Laxmi Bhuyan, "Power Efficient Encoding Techniques for Off-chip Data Buses," 2003 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, pp. 267-275, San Jose, Octobor 2003.
MICRO-36 Jun Yang, Youtao Zhang, Lan Gao, "Fast Secure Processor for Inhibiting Software Piracy and Tampering," ACM/IEEE 36th International Symposium on Microarchitecture, pp. 351-360, San Diego, December 2003.
HiPC'03 Dinesh Suresh, Jun Yang, Chuanjun Zhang, Banit Agrawal, and Walid Najjar, "Reducing Transition Activity on Data Bus," the 10th Annual International Conference on High Performance Computing, pp. 44-54, Hyderabad, India, December 2003.
ICPP'03 Youtao Zhang and Jun Yang, "Procedural Level Address Offset Assignment of DSP Applications with Loops," International Conference on Parallel Processing, pp. 21-28, Kaohsiung, Taiwan, October 2003.
ISLPED'03 Jun Yang, Jia Yu and Youtao Zhang, "Lightweight Set Buffer: Low Power Data Cache for Multimedia Applications," ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 270-273, Seoul, Korea, August 2003.
ISLPED'03 Youtao Zhang and Jun Yang, "Low Cost Instruction Cache Design for Tag Comparison Elimination," ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 266-269, Seoul, Korea, August 2003.
MICRO-35 Jun Yang and Rajiv Gupta, "Energy Efficient Frequent Value Data Cache Design," ACM/IEEE 35th International Symposium on Microarchitecture, pp. 197-207, Istanbul, Turkey, November 2002.
ISLPED'01 Jun Yang and Rajiv Gupta, "FV Encoding for Low-Power Data I/O," ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 84-87, Huntington Beach, CA, August 2001.
ISLPED'01 Jun Yang and Rajiv Gupta, "Energy-Efficient Load and Store Reuse," ACM/IEEE International Symposium on Low Power Electronics and Design, pp. 72-75, Huntington Beach, CA, August 2001.
MICRO-33 Jun Yang, Youtao Zhang and Rajiv Gupta, "Frequent Value Compression in Data Caches," ACM/IEEE 33rd International Symposium on Microarchitecture, pp. 258-265, Monterey, CA, December 2000.
ASPLOS'00 Youtao Zhang, Jun Yang and Rajiv Gupta, "Frequent Value Locality and Value-Centric Data Cache Design," ACM 9th International Conference on Architecture Support for Programming Languages and Operating Systems, pp. 150-159, Cambridge, MA, November 2000.
ICPP'00 Jun Yang and Rajiv Gupta, "Load Redundancy Removal through Instruction Reuse," International Conference on Parallel Processing, pp. 61-68, Toronto, Canada, August 2000.