Alex K. Jones

Professor

Department of Electrical and Computer Engineering

Department of Computer Science (by courtesy)

Associate Director, NSF SHREC Center

University of Pittsburgh

1126 Benedum Hall

(412) 624-9666

(412) 624-8003 (fax)

akjones@pitt.edu


Academics and Research

To effectively solve current and future computing problems it is not enough to develop new architectures or design new software. The solution must address concerns across many layers of the system.  Moreover, emerging concerns of energy and sustainability, security, and fault tolerance require complementary techniques to develop complete systems.


Education

  1. Bullet Ph.D. in Electrical and Computer Engineering

     Northwestern University, 2002.

  1. Bullet M.Sc. in Electrical and Computer Engineering

     Northwestern University, 2000.

  1. Bullet B.Sc. in Physics, College of William and Mary, 1998.


Current CV [ PDF ]


Teaching

ECE/CoE 0501: Digital Laboratory

ECE 1570:          Special Topics --- Data Structures


Service

  1. BulletAssociate Editor IEEE Transactions on Sustainable Computing

  2. BulletAssociate Editor Elsevier SUSCOM

  3. BulletSteering Committee -- International Green and Sustainable Computing Conference

  4. BulletSteering Committee -- ACM GLSVLSI Conference


Students

  1. BulletDonald Kline Jr

  2. BulletStephen Longofono

  3. BulletSebastien Ollivier

Research Projects


Fault Tolerant Memory and Storage Systems

Compiler, OS, and Storage Design for Processing in Memory

Securing the Industrial Internet of Things

Radiation Tolerant Space Computing Systems

Sustainable Computing


Past Projects


Enabling Circuit Switching

Data Forest

SuperCISC Fabric

RFID Design Automation PERCS

VLIW FPGA

PACT

MATCH

Compiler-CMP Codesign

BUILD

Productive CMP Design

Low-power Data Centers

Power/Energy Efficiency

 
 

Selected and Recent Publications


A full list of publications can be found here.


  1. Bullet D. Kline, R. Melhem, A. K. Jones. FLOWER and FaME: A Low Overhead Bit-level Fault-map and Fault-tolerance Approach for Deeply Scaled Memories, IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2020.


  1. Bullet D. Kline, N. Parshook, X. Ge, E. Brunvand, R. Melhem, P. Chrysanthis, A. K. Jones, GreenChip: A Tool for Evaluating Holistic Sustainability of Modern Computing Systems, Elsevier Journal of Sustainable Computing, Vol. 22(2019), June 2019, DOI=10.1016/j.suscom.2017.10.001 (https://doi.org/10.1016/j.suscom.2017.10.001).


  1. Bullet S. Longofono, D. Kline, R. Melhem, A. K. Jones, Toward Secure, Reliable, and Energy Efficient Phase-change Main Memory with MACE, IGSC, 2019.


  1. Bullet D. Kline, S. Longofono, S. Ollivier, E. Higgins, R. Melhem, and A. K. Jones, PREMSim: A Resilience Framework for Modeling Traditional and Emerging Memory Reliability, MASCOTS, 2019.


  1. Bullet S. Ollivier, D. Kline, R. Kawsher, R. Melhem, S. Banja, A. K. Jones. Leveraging Transverse Reads to Correct Alignment Faults in Domain Wall Memories, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) 2019.


  1. Bullet M. Seyedzadeh, A. K. Jones, and R. Melhem, Mitigating Wordline Crosstalk using Adaptive Trees of Counters, ISCA, 2018.


  1. Bullet M. Seyedzadeh, A. K. Jones, and R. Melhem, Enabling Fine-Grain Restricted Coset Coding Through Word-Level Compression for PCM, HPCA, 2018.


  1. Bullet E. Brunvand, D. Kline, A. K. Jones. Dark Silicon Considered Harmful, IEEE International Green and Sustainable Computing Conference (IGSC), October 2018 – Best Paper Award.


  1. Bullet D. Kline, H. Xu, R. Melhem, A. K. Jones, Racetrack Queues for Extremely Low-Energy FIFOs, IEEE Transactions on Very Large Scale Integration (TVLSI), April 2018, DOI: 10.1109/TVLSI.2018.2819945


  1. Bullet S. M. Seyedzadeh, R. Maddah, A. K. Jones, R. Melhem, Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2016.


  1. Bullet H. Xu, Y. Alkabani, R. Melhem, and A. K. Jones, FusedCache: A Naturally Inclusive, Racetrack Memory, Dual-Level Private Cache, IEEE Transactions on Multi-Scale Computing Systems, Volume: 2, Issue: 2, April-June 1 2016, DOI=10.1109/TMSCS.2016.2536020


  1. Bullet M. Moeng, R. Melhen, A. K. Jones, Reciprocal Abstraction for Computer Architecture Co-Simulation, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), 2015. DOI: 10.1109/ISPASS.2015.7095812


  1. Bullet Y. Li, Y. Zhang, H. Li, Y. Chen, A. K. Jones, C1C: A Configurable, Compiler-guided STT-RAM L1 Cache, ACM Transactions on Architecture and Code Optimization (TACO), Vo. 10, No. 4, December 2013, Article No. 52, pp. 52:1-52:22, DOI=10.1145/2541228.2555308 http://dx.doi.org/10.1145/2541228.2555308.


  1. BulletY. Li, A. Abousamra, R. Melhem, A. K. Jones, Compiler-assisted Data Distribution for Chip Multiprocessors Categories and Subject Descriptors, ACM/IEEE International Conference on Parallel Architectures and Compilation Techniques (PACT), 2010.


  1. Bullet C. Ihrig, R. Melhem, and A. K. Jones, Automated Modeling and Emulation of Interconnect Designs for Many-Core Chip Multiprocessors, Design Automation Conference (DAC), 2010.


  1. Bullet   A. Abousamra, R. Melhem, and A. K. Jones, “Winning with Pinning in NoC,” in Proc. of IEEE Hot Interconnects (HOTI), 2009.


  1. Bullet  S. Dontharaju, S. Tung, J. T. Cain, L. Mats, M. H. Mickle, and A. K. Jones, “A Design

Automation and Power Estimation Flow for RFID Systems,” ACM Transactions on Design

Automation for Electronic Systems (TODAES) - Vo. 14, No.1, 2009, pp. 1-31, Article 7.


  1. Bullet S. Shao, A. K. Jones, and R. Melhem, “Compiler Techniques for Efficient Communications

in Circuit Switched Networks for Multiprocessor Systems,” IEEE Transactions for Parallel and Distributed Systems (TPDS) - Vol. 20, No. 3, pp. 331-345.


  1. Bullet A. K. Jones, S. Dontharaju, S. Tung, L. Mats, P. J. Hawrylak, R. R. Hoare, J. T. Cain, M. H. Mickle, “Radio Frequency Identification Prototyping,” ACM Transactions on Design Automation for Electronic Systems (TODAES), Vol. 13, No. 2, April, 2008, Article 29, DOI 10.1145/1344418.1344425.


  1. Bullet R. Hoare, Z. Ding, A. K. Jones, “An Area and Time Optimized Hardware Scheduler for Optimal Crossbar Scheduling in Real-time,” Proceedings of Supercomputing (SC), 2006.


  1. Bullet A. K. Jones, R. Hoare, S. Dontharaju, S. Tung, R. Sprang, J. Fazekas, J. T. Cain, M. H. Mickle, “An Automated, FPGA-based Reconfigurable, Low-Power RFID Tag,” Proceedings of IEEE/ACM Design Automation Conference (DAC), 2006.


Music